Verilog amsproiecte

Filtrare

Căutările mele recente
Filtrează în funcție de:
Buget
la
la
la
Tip
Aptitudini
Limbi
    Starea proiectului
    2,000 verilog ams proiecte găsite, la prețul de USD

    ...Implement 4x4 matrix and 2x2 kernel convolution. - Employ kogge stone adder for efficiency. - Integrate vedic multiplier for rapid multiplication. - Ensure system operates with integer value precision. - Target design is for an FPGA using Verilog or VHDL. **Ideal Skills** - Proficiency in FPGA programming. - Strong background in digital arithmetic circuits. - Experience with kogge stone adders and vedic multipliers. - Knowledge of image processing techniques. - Use of Shifting , multiplication and addition in performing 2d convolution - Fluent in Verilog or VHDL coding. - Ability to optimize for power, area, and speed. **Project Deliverables** - Optimized HDL code for the convolution system. - Synthesis and simulation results demonstrating performance. - Documentation ou...

    $232 (Avg Bid)
    $232 Oferta medie
    2 oferte

    I'm seeking a proficient Verilog developer to implement a 2D convolution for the following image processing applications: edge detection and object recognition. • Core Requirements: - An extensive understanding of 2D Convolution and its application in edge detection and object recognition - Strong proficiency in Verilog programming language • Device specifications: - The 2D convolution algorithm needs to be coded specifically for an ASIC/FPGA device. Prior experience with designing for this platform is essential. This project demands precision, an in-depth understanding of image processing and excellent problem-solving skills. A proficiency in Verilog can significantly boost the effectiveness of the development process. Hence, expertise in ...

    $18 - $151
    $18 - $151
    0 oferte
    verilog developer -- 2 S-a încheiat left

    ...an experienced Verilog developer with expertise in digital circuit design, creating testbenches, and debugging existing Verilog code. While I didn't specify any particular tasks, I encourage you to utilize your problem-solving skills to lead this project's technical aspects. To apply for this project, please include: 1. Past Work: Include examples of your previous projects, focusing on your ability to create and implement successful digital circuit designs. 2. Verilog Code Samples: Although I am interested in all aspects of your past work, I would particularly like to see examples of your work on testbench designs. Your ability to create effective and efficient testbenches will be crucial to this project. 3. Experience: Your track record and breadth of ...

    $13 (Avg Bid)
    $13 Oferta medie
    5 oferte

    For my project, I am looking for a proficient Verilog programmer to simulate the behavior of a mixed circuit. This is a hub project . there will be 4 layer of slave input . the module needs to OR the all data and forward to another level of slave.

    $421 (Avg Bid)
    $421 Oferta medie
    10 oferte
    verilog code S-a încheiat left

    I'm looking for an expert with an in-depth understanding of digital circuits and solid experience with Verilog to help me design a digital circuit. This project aims to create a I2S input (64FS) to a 20 bit serial data output module with separate left and right output channels according to AD1862 data input specification. Key tasks include: - Design Verilog code for specific functionalities - Proactively troubleshoot and rectify any issues during the design process The ideal candidate will have: - Excellent knowledge of Verilog and its applications - Understanding and experience in designing digital circuits - Proven problem-solving skills and attention to detail Please only bid if you have the relevant skills and experience. Thanks!

    $221 (Avg Bid)
    $221 Oferta medie
    11 oferte

    ...consectutively per key No more then 6 number consectutively per key The private keys in the hashtable cant have any of these values in them . This will drastically reduce the scan space Skills and Experience: - Advanced level of familiarity with FPGA and bitstream development - Strong understanding of FPGA architecture and programming languages - Proficiency in programming languages such as VHDL or Verilog - Experience in designing and implementing complex FPGA systems - Knowledge of hardware design principles and methodologies Project Requirements: - Develop a Kangaroo Pollard FPGA Bitstream for personal use - Create an operating application with specific features based on my requirements - Integrate the bitstream and operating application to work seamlessly together - Pro...

    $880 (Avg Bid)
    $880 Oferta medie
    13 oferte

    I am seeking a proficient Verilog programmer to construct a PID controller from the ground up to manage a DC motor using PWM. The controller will interface with a Sparton 6 - FPGA and a DC motor driver. The characteristics that should be managed by the PID controller are the speed and position of the motor. The ideal candidate will: - Have substantial Verilog programming experience - Be experienced with PID controller development - Have a deep familiarity with working in Xilinx ISE environment - Demonstrate a high competency in controlling motor speed and position via a PID controller Your work will be key in ensuring the optimal performance of the DC motor in its relevant application. Please only bid if you have the above-listed skills and experience and can deliver...

    $687 (Avg Bid)
    $687 Oferta medie
    12 oferte

    I am looking for a professional who can devise a code in Verilog-2001 for adaptive haze removal in image processing. Requirements: - Proficiency in Verilog-2001 coding - Strong understanding of image processing algorithms - Experience with adaptive haze removal techniques - Ability to implement and optimize the code for efficient processing Please note that I have a specific image processing algorithm in mind for the haze removal and I am relying on your expertise to implement it effectively.

    $262 (Avg Bid)
    $262 Oferta medie
    4 oferte

    ...and provide insightful advice on my current Verilog code. Here's what I need: Verilog Code Review: - The crux of the project is the design aspect of my Verilog code. I need a professional who can scrutinize the implementation, selecting the best practices and pointing out areas for improvement. Focus Areas: - The emphasis of this project is particularly on the implementation of design. I need you to ensure the functionality of the architecture and its alignment with the set objectives and tasks. Required Skills and Experience: - An ideal candidate should have extensive knowledge in Verilog programming and code review. - A strong understanding of digital design and implementation is critical. - Proficiency in evaluating the functionality of Verilo...

    $10 / hr (Avg Bid)
    $10 / hr Oferta medie
    9 oferte

    I have a requirement for an expert in the Mallet Algorithm to help reduce power consumption by 30% through the development of a Verilog code for an approximate multiplier. Ideal Candidate Should: - Have expertise in the Mallet Algorithm and its implementation. - Possess deep knowledge in power optimization in coding. - Be proficient in running codes on Vivado software. - Have demonstrable experience in power reduction through code optimization. The goal here is not just to write a code, it's to creatively utilize your expertise with the Mallet Algorithm in creating a power-efficient multiplier that will noticeably cut down operation costs.

    $25 (Avg Bid)
    $25 Oferta medie
    8 oferte

    ...opportunity involves the creation of a complex 32-channel logic analyzer using FPGA and providing the appropriate Verilog code. - High-Speed Data Capturing: The system should be capable of clocked data capture at 200MHz or higher. - PC Utility: The project includes the production of a suited PC Utility, specifically designed for Windows. This utility should be meticulously engineered to capture real-time data, save treasured data content, and thoroughly analyze vital capture data. - Protocol Interpretation: Essential to the utility's operation is its smooth understanding of the common protocols including UART, SPI, I2C. The ideal candidate will be proficient in FPGA programming and Verilog, with a sound background in Logic Analyzer systems. In-depth protocol un...

    $636 (Avg Bid)
    $636 Oferta medie
    32 oferte
    verilog fpga programming S-a încheiat left

    I am in need of a freelancer who is proficient in Verilog FPGA programming, specifically using the Lattice platform. The purpose of the FPGA programming is for signal processing. Requirements: - Proficiency in Verilog FPGA programming - Experience with ICESTUDIO - Experience of Lattice ICE40 - Deep understanding of vga framebuffers and signal processing Skills and Experience: - Strong knowledge of Verilog and FPGA programming - Previous experience with ICESTUDIO - Familiarity with signal processing algorithms and techniques If you have the necessary skills and experience, please submit your proposal.

    $197 (Avg Bid)
    $197 Oferta medie
    13 oferte

    I'm in need of an experienced 3D designer who can create a .3mf file for a Bambu slicer. The end goal is to print this object in vibrant, separate colours using my Bambu lab x1c 3d printer with AMS technology. The requirements include: - Expertise in creating a 3mf file for optimized 3D printing - Ability to divide the design into at least 7 distinct segments, each assigned a unique color so I can select each colour as a distinct object and change in the Bambu slicer -The white background needs to be removed. The level of detail necessary on this project is high, the slicer must look realistic and includes a high level of intricacy in its design. Prior experience in creating such detailed 3D models would be a plus. Successful project execution could potentially lead to fut...

    $114 (Avg Bid)
    $114 Oferta medie
    23 oferte
    Verilog coding -- 2 S-a încheiat left

    Hi. Here is the project to review some Verilog code which wont synthesize properly as discussed.

    $50 / hr (Avg Bid)
    $50 / hr Oferta medie
    1 oferte
    Verilog/Quartus II S-a încheiat left

    I am looking for an expert in Verilog/Quartus II I will share the details of my task in chat

    $20 (Avg Bid)
    $20 Oferta medie
    8 oferte
    Trophy icon Logo design contest for consulting firm S-a încheiat left

    Project Title: Logo Design Contest Description: I am looking for a talented designer to create a logo for my brand identity. The logo should have a modern and minimalist style, reflecting the contemporary nature of my business. Skills and Experience: - Proven experience in logo design, with a portfolio showcasing modern and minimalist designs - Strong understanding of brand identit...the ability to create a logo that effectively represents my business - Proficiency in graphic design software and tools - Creativity and attention to detail to ensure the logo stands out and is visually appealing Color Preferences: Logo should be dark blue and gold, as well delivered in white and gold for the dark version of the website website design is attached to the contest. logo should say: AMS ...

    $87 (Avg Bid)
    Recomandat Garantat Sigilat
    $87
    746 intrări

    Project Title: NTT hardware implementation verilog I am looking for a freelancer who can help me with the implementation of a Radix-2 NTT hardware in Verilog. Requirements: - Strong experience in Verilog programming - Knowledge of Radix-2 NTT algorithm - Familiarity with cryptography and encryption techniques The ideal candidate should: - Have experience in FPGA or ASIC technology - Be able to suggest suitable FPGA or ASIC technology for the implementation - Understand the specific requirements of cryptography in the context of NTT implementation This project is focused on the implementation of a Radix-2 NTT hardware for the purpose of cryptography. If you have the necessary skills and experience, please submit your proposal.

    $176 (Avg Bid)
    $176 Oferta medie
    5 oferte
    Rtl implement S-a încheiat left

    I am looking for a freelancer who can assist me with the RTL implementation for my digital circuit design project. Requirements: - Experience in digital circuit design and RTL implementation - Familiarity with Verilog programming language - Ability to work with limited guidance and rough design ideas Skills and Experience: - Proficiency in Verilog programming language - Strong knowledge of digital circuit design and RTL implementation - Ability to interpret and work with rough design ideas - Attention to detail and ability to problem-solve If you have the skills and experience required for this project, please submit your proposal.

    $77 (Avg Bid)
    $77 Oferta medie
    13 oferte

    I am looking for an experienced Verilog coder to help me design a simple digital circuit. I have a rough idea of what I want the circuit to do, but I am open to suggestions and input from the freelancer. The ideal candidate should have experience in designing digital circuits using Verilog and be able to work with a simple level of complexity.

    $89 (Avg Bid)
    $89 Oferta medie
    12 oferte
    verilog programmer S-a încheiat left

    I am looking for a Verilog programmer who can assist me with designing circuits. Although I have a rough idea of the type of circuit I want, I am open to suggestions and creative input. The ideal candidate should have experience in Verilog programming and be able to design circuits efficiently and accurately. This project does not have a specific timeframe mentioned.

    $30 (Avg Bid)
    $30 Oferta medie
    11 oferte

    I am looking for someone who is good with verilog and system veriliog who can do the following : The idea of this application is to equifill rectangles in individual rows based on comparing strip heights and program heights. The design should use a decoder. for example : if you have row of height strip 8, it is checking 9, and 10 so it will compare 8 and 9 and then it will compare the minimum of 8 and 9 with 10. A table on page 2 of the attached document explains these comparisons with further examples. But, each time the program should only perform 3 comparisons. The program needs to use 8 clock cycles strictly. It needs to be a design that can be optimized. It needs to fit in the top level module (M216A_TopModule (2).v) that I have attached and it needs to work for all cases in...

    $553 (Avg Bid)
    $553 Oferta medie
    10 oferte

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C

    $33333 (Avg Bid)
    $33333 Oferta medie
    15 oferte

    To create a compelling logo for Account Management Services (AMS) a medical billing company. Logo has AMS and medical billing in it. We specializing in various specialty groups, it's crucial to convey professionalism, trustworthiness, and expertise. Begin by understanding the company's values, mission, and target audience to ensure the logo aligns with its identity. Keep the design simple, focusing on easy recognition and versatility for application on hats, golf shirts, pens, and other materials. Choose colors that evoke trust and reliability, considering their appearance on different backgrounds. Typography should be carefully selected for readability and alignment with the brand personality. Aim for a unique concept that stands out in the medical billing industry...

    $102 (Avg Bid)
    Garantat
    $102
    700 intrări

    I am looking for a freelance developer to help me with a project involving writing to a LCD 16x2 display on a basys 1 FPGA with an i2c interface (PCF8574A). I would like the programmer to use Verilog, but I don't have experience with FPGA programming and I am open to suggestions for content and/or functionality for the display. If you have experience with FPGA programming and think you are a good fit for this project, I'd love to hear from you!

    $15 / hr (Avg Bid)
    $15 / hr Oferta medie
    16 oferte

    I am looking for someone who can provide me with an FPGA project in Verilog within a day, which should include the use of peripherals. Here are the details: Specific Peripherals: - UART - SPI - I2C Requirements and Constraints: - No specific requirements or constraints for the FPGA project Target Application: - Any application, such as data processing, signal processing, or control systems Ideal Skills and Experience: - Proficiency in Verilog and FPGA development - Experience with integrating peripherals into FPGA projects - Knowledge of UART, SPI, and I2C protocols If you have a Verilog FPGA project that includes the use of peripherals, please reach out to me. Thank you!

    $53 (Avg Bid)
    $53 Oferta medie
    11 oferte

    I would like to implement a numerical interpolation in Verilog, more information will be supplied for the candidate

    $118 (Avg Bid)
    $118 Oferta medie
    14 oferte

    KP4-FEC ENCODER DECODER RS (544,514) including documentation and explanation. Verilog files and simple testbench to prove the run on Quartus II. 514 data symbols per codeword 544 data plus parity symbols per codeword Codeword size = 10 * 544 = 5440 bits Correcting capability up to 15 symbols within a codeword PAM4 modulation

    $144 (Avg Bid)
    $144 Oferta medie
    10 oferte
    Trophy icon American horror story Poster 8.5x11 S-a încheiat left

    Looking for a poster in the style of the show AMS or American Horror Story to showcase the dates of Met, Engaged, and Married. UPDATED SIZE 8x10!!!!!!!!!!!!!!!!! Couples Name - Damon & Karen Vergilio Met - 2014 Engaged - June 23, 2018 Married - April 30, 2021 Font for American Horror Story included I am looking for a talented designer to create a vintage-style poster for my American horror story project. Requirements: - The poster should have a vintage aesthetic, with a dark and moody color scheme. - I have specific imagery in mind that I would like to be included in the design. - The designer should have experience in creating vintage-style artwork and be able to capture the essence of American horror story in their design. Skills and experience: - Proficiency in g...

    $20 (Avg Bid)
    Garantat

    I need a simple Verilog code (that it's not too complex, understandable for a begginer) written in Vivado which will connect camera OV7670 to board Nexys 4DDR and output video on a monitor through the VGA port. I will also need the .xdc completed based on the inputs and outputs used (constraints file) and an explanation for the code. I am looking for someone who can complete this project in 1 - 2 months. Thank you for your help!

    $185 (Avg Bid)
    $185 Oferta medie
    13 oferte

    I need help with the implementation of SHA 512 on an FPGA platform. I prefer to use the Xilinx platform, and I would like the programming language to be Verilog. I need the project to be completed in 2-3 days. I know this is a short timeline but I'm confident that with the right expert, it can be done. Please let me know if you have any questions or require more information.

    $417 (Avg Bid)
    $417 Oferta medie
    5 oferte

    Verilog code for a Karatsuba multiplier with parallelism - Desired bit width for the multiplier: 32 bits - Test-bench verification required: Yes - Specific deadline for the project: Within 1 week preferably in 3 days Ideal Skills and Experience: - Proficiency in Verilog coding - Experience in designing and implementing Karatsuba multipliers - Knowledge of parallelism in Verilog - Ability to create and execute test benches for verification - Strong understanding of digital logic and arithmetic operations

    $52 (Avg Bid)
    $52 Oferta medie
    6 oferte

    I'm looking for an experienced engineer to write a Verilog code that implements the behavior of a single neuron. The input signals required will be 4, so the complexity should be intermediate. As for design constraints or requirements, I don't have any specific ones, but I do have some preferences for the implementation. The activation function should be a sigmoid function. Any other details, to be discussed when you bid.

    $116 (Avg Bid)
    $116 Oferta medie
    4 oferte

    I am looking for a skilled FPGA designer with experience in Xilinx Zynq7010 EBAZ Verilog to develop a permutation enumeration counter. The counter should have the following functionality and requirements: Functionality: - The counter should be able to increment indefinitely. - The counter shall initialize to the starting position on reset. - The counter shall toggle a minimum number of bits, like Grey Code. Requirements: - The project should be implemented using Verilog for Xilinx Zynq7010 EBAZ board. The Verilog function shall be parameterized PermCtr(K, N) - The counter should be designed to efficiently handle permutation enumeration. - The design should be optimized for performance and resource utilization. - If possible, I would like the counter to only enumerat...

    $191 (Avg Bid)
    $191 Oferta medie
    15 oferte
    Verilog hoemwork S-a încheiat left

    Verilog Homework Project I am looking for a freelancer to help me with my Verilog homework. I need assistance with a specific Verilog task, which could involve designing a circuit, writing testbenches, or debugging existing code. Deadline and Complexity: - Unfortunately, the client did not provide information regarding the deadline or the level of complexity for the Verilog task. Therefore, it is important for interested freelancers to clarify these details with the client before proceeding. Skills and Experience: - Proficiency in Verilog programming language - Experience with designing circuits, writing testbenches, and debugging Verilog code - Strong problem-solving and debugging skills - Attention to detail and ability to follow specificati...

    $390 (Avg Bid)
    $390 Oferta medie
    9 oferte

    I am in need of an expert with FPGA Verilog/VHDL experience in Xilinx. The project requires advanced programming skills in Verilog/VHDL and must be completed within a week. You should have a Xilinx board to work on this project. Tasks: - Programming in Verilog/VHDL Ideal Skills and Experience: - Expertise in FPGA Verilog/VHDL - Strong knowledge of Xilinx - Advanced programming skills in Verilog/VHDL Deadline: - Within a week

    $171 (Avg Bid)
    $171 Oferta medie
    11 oferte
    Verilog Ethernet protocol. S-a încheiat left

    I want to implement the Ethernet connection between FPGA board to PC. The deliverables are as follows - Verilog code to run on a Spartan 6 Board - (xc6slx100) - Simulation time diagrams (more details will be given to the winner) - The code should be able to transmit and receive data at 1000mbs.

    $370 (Avg Bid)
    $370 Oferta medie
    15 oferte
    Install AMS on AWS S-a încheiat left

    Project Title: Install AMS on AWS Description: I am looking for a freelancer who can help me install a specific type of AMS on AWS. Type of AMS: Association Management Software Need to install it on AWS server with postgres Database and Python Anyone who has done this before or has experience in similar setup please help me complete this. It is opensource available on github and should not take more than a few hours if you know what you are doing. Requirements: - Experience with AWS and AMS installation Additional Information: - I already have an existing AWS account, so the freelancer should be able to work with it. - The project has an immediate level of urgency, so I need someone who can start working on it right away. If you have the skills and

    $17 (Avg Bid)
    $17 Oferta medie
    3 oferte

    I am looking to purchase b2c email leads for the weight loss industry. I need 10k to 100k leads. My goal here is to qualify these leads through email interaction, in order to gain subscribers to a newsletter ams marketing. The newsletter will be focused on new weight loss products, so that potential buyers can stay informed on the latest developments in this industry. I am looking for an experienced freelancer who can help me source these email leads, by employing proven targeted marketing tactics in order to reach a broad audience.

    $55 (Avg Bid)
    $55 Oferta medie
    5 oferte

    I am looking for a Python developer with experience in Selenium and Spyder to create code to automatically query a website without detection (). No agencies

    $23 (Avg Bid)
    $23 Oferta medie
    8 oferte
    Verification environment S-a încheiat left

    Hi I am writing the code for UVM verification environment for the AMBA AHB. I have all the code but facing problems integrating it with errors. It's to be done in vivado or questasim. It's in system verilog language. I need it in 2 days. We could discuss the price based on the difficulty and time you have to give on this.

    $128 (Avg Bid)
    $128 Oferta medie
    16 oferte

    My experience with deploying Caffe networks on FPGA boards is intermediate. I have prior experience with the Lattice Radiant software so I am ready to use it for this project. My specific task or outcome I want to achieve with this deployment is Image Classification using the ICE40UP5K FPGA with the iCE40 UltraPlus Breakout Board and Lattice Radiant software.

    $4 / hr (Avg Bid)
    $4 / hr Oferta medie
    3 oferte
    fpga programming S-a încheiat left

    My project is about FPGA programming for control systems. I'm using the Altera Cyclone V board and the preferred programming language is Verilog. This project requires someone with experience in FPGA programming and the design of embedded systems. The programmer should be able to develop design flows for FPGA devices, debug them and modify existing designs for better performance. The knowledge of hardware description languages such as VHDL and Verilog is crucial, as they will be used for implementation and testing of the designs. Additionally, some knowledge of microcontrollers and communication protocols will be required. The right person for this job should have strong problem-solving skills, excellent coding and debugging capabilities, and a deep understanding of hard...

    $167 (Avg Bid)
    $167 Oferta medie
    22 oferte
    Trophy icon Aviation Maintenance Logo S-a încheiat left

    Aviation Maintenance Repair and Overhaul (MRO) logo. Attached is an idea but change AMS to MRO and Aviation Maintenance Services to TAMROC AEROSPACE with a trademark. Would like the logo to be 3D.

    $200 (Avg Bid)
    Recomandat Garantat
    $200
    122 intrări

    I am in need of an experienced and professional digital circuit designer to undertake a project involving Verilog coding, RTL verification, and FPGA implementation. Specifically, I need the Verilog coding to be at the intermediate level and it must meet specific requirements. The scope of this project is just Verilog coding running though Xilinx Vivado IDE. The successful applicant must have a good understanding of design flows to be implemented in Verilog, including synthesis and simulation techniques, as well as a thorough knowledge of all aspects of Verilog coding and digital circuit design. Experience with RTL verification and FPGA implementation will also be beneficial for this role. Ultimately, I am seeking an individual who is able to accurately an...

    $107 (Avg Bid)
    $107 Oferta medie
    18 oferte
    ARM EMBDEED FREELANCER S-a încheiat left

    I am looking for a skilled ARM embedded freelancer to assi...Purpose of the Embedded System: - Personal project Hardware and Software Requirements: - I have specific requirements Ideal Skills and Experience: • Good grasp of fundamentals in Electronics Engineering, • Knowledge of digital electronics, VLSI, microprocessor architecture is a plus • Interest and experience in digital design and verification • Good understanding of Assembly-level programming, Verilog/VHDL • Proficient in C/C++, and scripting languages - Strong knowledge and experience in ARM microcontroller programming - Proficiency in real-time operating system (RTOS) development - Expertise in embedded system design and implementation - Familiarity with the specific hardware and software req...

    $28 (Avg Bid)
    $28 Oferta medie
    8 oferte
    Verilog fpga S-a încheiat left

    I am looking for an experienced Verilog FPGA specialist to develop a reliable and efficient code for me. I do not have a specific functionality in mind, but I do need the code to have a clock frequency of less than 100MHz and fewer than 10 inputs and outputs. I would also like to ensure that the code is reliable and bug-free. If you have the expertise and skill set to deliver a high-quality solution, please contact me. I look forward to hearing from you!

    $27 / hr (Avg Bid)
    Acord de confidenţialitate
    $27 / hr Oferta medie
    19 oferte
    Amazon Ads Specialist S-a încheiat left

    ...performance, contribution, and job satisfaction Requirements and skills US Time Zone Experience or training of how Amazon PPC campaigns are structured, created, expanded (grown), and optimized (tuned). Knowledge of how product listings are considered relevant to Amazon’s search and sponsored algorithms. Familiarity with Amazon Seller Central platform. Familiarity with Amazon Advertising platform (AMS) all ad types. Must have extensive hands-on experience with Amazon Sponsored Product, Sponsored Brand, and Sponsored Display ad types. Familiarity with required supporting software. English Fluent/Native. Professional appearance and Zoom or video capabilities....

    $23 / hr (Avg Bid)
    $23 / hr Oferta medie
    36 oferte

    Project Description: Build Pulp Snitch Cluster for Xilinx FPGA Board I am looking for a skilled and experienced developer to build a Pulp Snitch Cluster for my Xilinx FPGA Board. The ideal candidate should have expertise in System Verilog programming and configuration. Requirements: - Create a project, so Pulp Snitch Cluster can be built for Xilinx FPGA Board (Kria 260) using command line - Strong knowledge and experience in System Verilog programming and configuration Skills and Experience: - Expertise in System Verilog programming and configuration - Familiarity with Xilinx FPGA Boards - Familiarity with Xilinx tools (Vivado, etc..)

    $171 (Avg Bid)
    $171 Oferta medie
    6 oferte

    Project Title: Familiarize with pulp-platform/snitch Description: I'm seeking the one who can make me familiarized with pulp-platform/snitch. As of the output I expect to have : Completed documentation, from which I ...other tools) 2. Have understanding of how to run unit tests for given modules/cores/clusters/whatever 3. Embed custom IP into a snitch cluster; connect it to the axi crossbar, make it configurable by one of the cpu's/external tool 4. Use xilinx simulator for the purpose of unit tests (as a bonus) Note: latest version of the pulp/snitch must be used Skills and Experience: - Experiense with system verilog/ verilator/ system c/ make/ python - Experience with pulp-platform/snitch - Strong understanding of hardware and software integration - Communication and ...

    $147 (Avg Bid)
    $147 Oferta medie
    17 oferte