Fpga adc xilinxproiecte

Filtrare

Căutările mele recente
Filtrează în funcție de:
Buget
la
la
la
Tip
Aptitudini
Limbi
    Starea proiectului
    2,000 fpga adc xilinx proiecte găsite, la prețul de USD
    Project for Somai N. S-a încheiat left

    Salut sunt George si vreau să știu dacă mă poți ajuta cu un proiect pe partea de minat cryptomonezi pe fpga îți las un link ca să te informezi despre ea,dacă poți să faci lucrul ăsta discutăm în particular pt că e posibil să mai am oroiecte de genul ăsta,asta e linkul către ce am eu

    $1 / hr (Avg Bid)
    $1 / hr Oferta medie
    1 oferte

    fpga/ultra and xilinx wiznet vhdl/verilog

    $229 (Avg Bid)
    $229 Oferta medie
    14 oferte
    $52 Oferta medie
    2 oferte
    retea neuronala Fpga S-a încheiat left

    Realizarea unei retele neuronale implementata in fpga .Recunoasterea formelor,caracterelor pe o matrice 7*7

    $195 (Avg Bid)
    $195 Oferta medie
    2 oferte

    Am nevoie de un proiect intitulat "algoritmi de conversie cc-ca cu functii walsh", mai specific am nevoie de crearea unui proiect care sa elimine armonicile unui invertor pwm trifazat care controleaza un motor de curent alternativ. initial eu am incercat obtinearea unghiurilor armonicilor pe baza functiilor walsh in matlab si ca mai apoi sa pot implementa algoritmul pe FPGA sau in cazul mai putin favorabil in labview.

    $600 (Avg Bid)
    $600 Oferta medie
    1 oferte

    Intr-un proiect VHDL sa se realizeze un simulator de memorie CACHE (cu mai multe politici de scriere si citire) care sa poata fi testat pe FPGA. Pretul si durata proiectului sunt orientative.

    $224 (Avg Bid)
    $224 Oferta medie
    1 oferte

    Se utilizează sistemul de dezvoltare cu FPGA Xilinx Spartan 3 produs de firma Altium și mediul de programare Visual C++ 2010 (pentru aplicația pe PC). Se dorește implementarea unui sistem complet care realizează emularea unui calculator cu memorie CACHE prezentă (interfață între procesor și memoria principală). Analiza propusă se va face pentru memoria cache total asociativă (fully associative) atât la preluarea instrucțiunilor cât și a datelor. Emularea sistemului se va face hardware, pe placa cu FPGA iar preluarea rezultatelor se va face prin intermediul portului serial RS232 care comunică cu calculatorul. Pe calculator va rula o aplicație de asemenea proiectată care va prezenta rezultatele. Pretul si durata de realizare sunt orientative!

    $100 (Avg Bid)
    $100 Oferta medie
    1 oferte

    Intr-un proiect VHDL sa se realizeze un simulator de memorie CACHE (cu mai multe politici de scriere si citire) care sa poata fi testat pe FPGA. Pretul si durata proiectului sunt orientative.

    $100 (Avg Bid)
    $100 Oferta medie
    1 oferte

    I'm in need of an experienced Android developer to update our Java-based app, originally designed for Android 5 KitKat, to work seamlessly on modern tablets. Key Requirements: - Improve User Interface: The project involves enhancing the app's existing UI. - Compatibility Upgrade: The current app is only compatible with Android 5 KitKat; the d...enhancing the app's existing UI. - Compatibility Upgrade: The current app is only compatible with Android 5 KitKat; the developer must ensure it functions smoothly on contemporary tablets. Additional Information: - The app currently consists of 6-10 screens or activities. - A new feature will be the integration of Bluetooth connectivity. -The app controls hardware via bluetooth, sends PWMs and reads ADC values and reads/write...

    $2220 (Avg Bid)
    $2220 Oferta medie
    108 oferte

    We are looking for an experienced freelancer to create a custom DMA firmware using this simple guide : The guide provides detailed instructions, but I lack the time to compl...: The guide provides detailed instructions, but I lack the time to complete it myself. The project will be executed on a Squirrel 35t board and should not take more than 3-4 hours for someone proficient. **Tasks:** - Configure and customize firmware based on pcileech-fpga - Use Vivado for development - Emulate TLP and configure the configuration space **Required Skills:** - FPGA design and programming - Experience with Vivado (Xilinx) - DMA firmware development - Verilog/VHDL programming - Debugging and testing embedded systems

    $198 (Avg Bid)
    $198 Oferta medie
    9 oferte

    I'm looking for experienced circuit designer who can design sensing circuit for RTD temperature sensor. Sensing should be done by STM32F103 microcontroller ADC. There should be 8 channels for RTD temperature sensor. I need Schematic design and sample code to read temperature value. Only bid if you have experience with RTD temperature sensor.

    $108 (Avg Bid)
    $108 Oferta medie
    11 oferte

    hello, I have a project from 5 parts and I maked the first,secode,and the third part ,i have to finish the 4th part for sunday , please see the specifications in the pdf and the first,second parts iwhich it done in the zip "rendu1-2" and the third part in the another zip "rendu3" task: rendu 4 deadline: this sunday 19 may budget: 30$ language: french,arabic

    $30 (Avg Bid)
    $30 Oferta medie
    3 oferte
    FPGA firmware emulation 2 zile left
    VERIFICAT

    I need a custom firmware for my 35t squirrel that emulate another device (sound card)

    $30 / hr (Avg Bid)
    $30 / hr Oferta medie
    16 oferte

    ...ESP32-C3FH4. ***This is for integration in an existing device that is currently utilizing a ESP32-C3 Supermini Dev Board Key functionalities should include: - Bluetooth connectivity - GPIO pins configuration - ADC Input - USB-C Female Plug - Serial TX and RX IO - Ten (10) GPIO functionalities This blueprint will serve as the basis of a prototype I am developing. As such, I'm seeking to partner with someone who is experienced and proficient in the following areas: ESP32-C3FH4 development and configuration including the following features: - Bluetooth connectivity - GPIO pins configuration - ADC Input systems - USB-C connection. - Serial Comms Please ensure your proposal reflects your ability to fulfil these requirements. Also please do NOT ask to conduct busine...

    $250 - $1000
    Sigilat
    $250 - $1000
    11 oferte

    I would like to manage LiteSpeed Web ADC with API since I made a external control panel wrotten in PHP and what I would like to do is to use LiteSpeed Web ADC to manage user registration, user settings, backend IP, SSL certificates, user permissions, domains, etc... with my external panel. I am OK to use cPanel API if it is not possible to use LiteSpeed Web ADC native API. What I am asking to the freelencer is to set API endpoints for my needs which I said above. REST API are OK. LiteSpeed Web ADC is a Load Balancer. I am not referring to LiteSpeed Web Server which is another thing.

    $25 (Avg Bid)
    $25 Oferta medie
    8 oferte

    I am seeking an experienced developer to convert my current image processing project, coded in C++, into Verilog and run on FPGA device using HLS Vitis. The existing project has: - Edge detection capabilities - Image segmentation capabilities The primary goal of this project is not to enhance or alter the images, but to convert the existing codebase from C++ to Verilog, utilizing HLS Vitis. With your expertise: - Maintain the integrity of the current functionalities during conversion - Reframe the C++ code to Verilog language ensuring a seamless running on an FPGA device. The successful bidder should have significant experience with Verilog, C++, and HLS Vitis, as well as a good understanding of Image Processing algorithms, especially Edge Detection and Image Segmentation...

    $381 (Avg Bid)
    $381 Oferta medie
    12 oferte

    I'm currently seeking an individual who is not only proficient in VHDL coding but also in Quartus design implementation. Key Responsibilities: - Work on specific tasks related to VHDL coding - Implement design using Quartus While the overall aim of the project and the timeline aren't spec...coding but also in Quartus design implementation. Key Responsibilities: - Work on specific tasks related to VHDL coding - Implement design using Quartus While the overall aim of the project and the timeline aren't specified yet, I am eager to work with someone who is flexible and can adapt as per project needs. The ideal candidate for this role should be based in Pakistan, knowledgeable in FPGA programming, dependable, efficient, and proactive when it comes to troubleshoo...

    $400 (Avg Bid)
    $400 Oferta medie
    7 oferte

    ...audio circuits. • Experience with handling multi-channel signals. • Familiarity with line level audio signal processing and mixing. We need an engineer with deep experience in high-end analog circuit design using low noise operational amplifiers. We will provide basic diagrams and objectives. The project involves high quality op amps and line drivers for an audio routing project. We will be using FPGA platforms with SPI and TCP/IP to send commands to digitally controlled audio daughterboards in a card cage configuration. The proof of concept will include circuit design and a functioning prototype of two audio channel for control and noise and sweep analysis of the output. We have pre-selected chip sets and will provide prototype hardware....

    $2264 (Avg Bid)
    $2264 Oferta medie
    17 oferte

    The goal of this project is using Vivado tools to enable a hardware implementation on an FPGA board. The key requirement from the FPGA board is high computational speed. Therefore, proficiency in Verilog language is preferred as I intend to implement the NTT algorithm. I am looking for a developer who is experienced with FPGA boards and Vivado tools. The chosen freelancer should also have the ability to maximize computing capabilities of the board for the said implementation.

    $311 (Avg Bid)
    $311 Oferta medie
    6 oferte

    I'm in need of a specialized expert to carry out an implementation of the NTT (Number-Theoretic Transform) module on a Xilinx Artix-7 FPGA. The main objective of this project is to enhance computational performance. Key requirements include: - Proficient understanding of FPGA programming, particularly expertise in the Xilinx Artix-7 platform. - An in-depth experience in Number Theoretic Transforms. - Ability to develop a highly efficient, low latency, energy-efficient implementation that significantly boosts computational performance. Please only bid if you feel fully confident in meeting these requirements. Your expertise in this niche task will be highly valued.

    $61 (Avg Bid)
    $61 Oferta medie
    2 oferte

    Im working on a c++ image processing project , and i need to convert my C++ code to Verilog using HLS vitis , then implement it to run on Ultra96v2 Xilinx FPGA board .

    $488 (Avg Bid)
    $488 Oferta medie
    17 oferte

    a comprehensive research paper on an FPGA based Accident Detection and Prevention Software.

    $66 (Avg Bid)
    $66 Oferta medie
    1 oferte

    I'm looking for a seasoned research writer with proficiency in technology, specifically FPGA software systems. The focus is on creating a comprehensive research paper on an FPGA based Accident Detection and Prevention Software. Key objectives include but are not limited to: - Understanding of real-time accident detection - Expounding on measures for accident prevention - Thorough explanation of the emergency communication system The ideal freelancer should be knowledgeable about artificial intelligence and its implementation in accident detection systems. Critically, they should be able to dissect and present complex tech language into a comprehensible writing. Evidence of past research work in this field will be a major plus.

    $13 (Avg Bid)
    $13 Oferta medie
    4 oferte

    I'm in urgent need of skilled VHDL/Quartus professionals from Pakistan for a project. I will clarify the specifics once a mutual understanding and agreement is reached. Ideal skills for the job include: - Proficiency in VHDL/Quartus - Ability to design, troubleshoot and optimize digital circuits - Ability to work independently or with minimal supervision - Excellent communication skills to effectively explain intricate concepts or problems Experience level can range from beginner to expert. The expectation, however, is the ability to deliver quality work within the stipulated time-frame.

    $218 (Avg Bid)
    $218 Oferta medie
    5 oferte

    I am currently working on a traffic light project and I need the expertise of a VHDL programming guru. Someone who has had previous experience programming the FPGA DEO Nano development board would be a perfect fit, as that's what I am specifically working with. I am using VHDL to code for the EP4CE22F17C6N board. The base of my project, using a state machine, has already been created. As far as the hardware end of things, I've already prepared the circuit diagram and have started with LED lights and toggle switches. But I do need to make some changes in it as the requirement in order to make it more complex for that I need someone who can do the following additions or changes in the project that I have attached in my zip folder to work exactly as described in the followi...

    $143 (Avg Bid)
    $143 Oferta medie
    7 oferte
    10000 S-a încheiat left

    Stepper motor controller in FPGA which generates pulses according to command. verilog code

    $119 (Avg Bid)
    $119 Oferta medie
    1 oferte

    I need the FADNet CNN to be trained, optimized (purged), quantized and compiled to be used on the Xilinx DPU. You should know about NN, PyTorch, Python and the Vitis AI tools Tasks to do: - Train the NN - Optimize (prune) - Quantize - Compile

    $152 (Avg Bid)
    $152 Oferta medie
    20 oferte

    I'm on the hunt for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boa...

    $180 (Avg Bid)
    $180 Oferta medie
    12 oferte

    I'm on the hunt for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boa...

    $97 (Avg Bid)
    $97 Oferta medie
    4 oferte

    I need a proficient Embedded Engineer to design a PCB prototype for cryptocurrency mining. An efficient board that carries 1 to 16 chips (ASIC, FPGA, and/or Microcontrollers) is expected, with the ability to handle 5V (200W) Max. Strong expertise in Electrical Engineering and experience in designing a 4 layer PCB board is preferable; those with a background in cryptocurrency technology hold a significant advantage. Deliverables include the final working PCB prototype model. Reliability, accuracy, and attention to detail are crucial for this project. - BOM File has to be provided - CPL & Gerber files has to be provided - NEEDS to be cost-effective for mass production - Any mining algorithm should/could be programmable - Considerable high hashrate is expected - Raspberry Pi 3/4/5...

    $602 (Avg Bid)
    $602 Oferta medie
    18 oferte

    I am in need of a seasoned FPGA programmer, proficient in Verilog and Vivado, who can build and run a program for me on a ZYNQ 7000 FPGA board. Our primary goal is: - To work on a program that performs Homomorphic Encryption Algorithm, by analysing its architecture - You'll need to identify the blocks responsible for addition and multiplication operations, as well as enumerate all IO used for these operations. Ideal candidate should have: - Extensive experience in conveying complex FPGA architectures in an understandable form - Proficiency in using Vivado for hardware simulation

    $17 / hr (Avg Bid)
    $17 / hr Oferta medie
    11 oferte

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algorithms ...

    $126 (Avg Bid)
    $126 Oferta medie
    2 oferte

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algorithms ...

    $14 (Avg Bid)
    $14 Oferta medie
    3 oferte

    I'm seeking expertise in FPGA or DSP-based systems for an autonomous vehicle project. The primary objective is to design an object detection and tracking system with a specific focus on vehicles. Key responsibilities: - Develop a system that can effectively identify different types of vehicles Based on trained model. - Ensure its superiority in optimizing performance and decreasing latency. - Incorporate techniques that allow for the real-time operation of the autonomous vehicle. -RS-232/422 Serial Communication Ports. Ideal Skills and Experience: - Extensive knowledge in DSP or FPGA. - Proven experience in vehicle detection and tracking. - Versatility to craft a reliable solution for autonomous vehicles. - Expertise in real-time systems highly preferred. Your propos...

    $1008 (Avg Bid)
    $1008 Oferta medie
    20 oferte
    Project for Saddam G. S-a încheiat left

    Hello, my friend, I'm a Turkish student studying in Turkey. I'm asking for your help on a simple project. My professor has asked me to control motor speed using FPGA-based PID control. I've noticed that you're good at these topics and work with an economical approach, as I've read positive comments about you. I know this isn't a very difficult task. Can we discuss how much we can agree on for this? Please keep in mind that I'm a student and in a difficult situation. Can you help me?

    $10 / hr (Avg Bid)
    $10 / hr Oferta medie
    1 oferte

    I'm working on a project that involves evaluating image quality using machine learning on an FPGA. Key Requirements: - The primary goal of this project is to achieve highly accurate results in image quality. - The images I'll be evaluating are mostly photographs. - I'm looking to implement a Convolutional Neural Network (CNN) model for this project. Key Skills/Experience needed: - Proficiency with FPGA development, particularly with VIVADO. - Strong background in image processing and machine learning. - Previous experience with implementing CNN models on FPGA for image quality evaluations would be a great plus. If you're confident in your FPGA skills, have a background in image processing and ML, and have worked with CNN models, I'm ...

    $16 (Avg Bid)
    $16 Oferta medie
    3 oferte

    I'm in need of an expert in FPGA and machine learning with a focus on Convolutional Neural Network (CNN) and YOLO algorithms. The primary goal of this project is to evaluate image quality with the maximum possible accuracy. Some key details: - FPGA: Experience with VIVADO is highly preferred. - Machine Learning: A strong background in implementing CNN and YOLO algorithms is essential. - Image Size: The desired input image size is 416x416. The project aims to achieve high accuracy in image quality evaluation through these machine learning algorithms on the FPGA. The freelancer is expected to work closely with me to ensure the project meets the desired outcomes.

    $12 (Avg Bid)
    $12 Oferta medie
    4 oferte

    I'm seeking an FPGA expert to help with the hardware acceleration of my trading operations. Key Requirements: - The main goal of this project is to accelerate trading operations using FPGA technology. - The specific trading applications that need acceleration include high-frequency trading and algorithmic trading. Ideal Skills: - Strong experience in FPGA design and implementation. - Deep understanding of hardware acceleration techniques. - Background in trading or finance (highly preferred but not mandatory). - Familiarity with high-frequency and algorithmic trading algorithms. This project offers a great opportunity for a skilled FPGA engineer to work on a challenging and rewarding subject area.

    $39 / hr (Avg Bid)
    $39 / hr Oferta medie
    12 oferte

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expertise in optimising A...

    $166 (Avg Bid)
    $166 Oferta medie
    7 oferte

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    $217 (Avg Bid)
    $217 Oferta medie
    10 oferte

    ...with two different approaches. Additionaly obvisouly a MCU will take place - as well as RS485 communication. Absolut main aspect is an accurate (in sense of stability, low noise and absolute value representation) K thermocouple element measurement. Two approaches are forseen - using the high precision MAX31856 as well as the AD8495 in conjunction with a 20-bit SAR and 24-bit SigDelt ADC. Apart from the ADC proposition the project comprisis of a full circuit and PCB creation. Additionaly also the needed firmware for the respective communication (over SPI) shall be properly implemented (well structured and with readable comments). Finally also testing should take please for measure the achievable performance of the proposed and implemented solutions. Please read carefull...

    $1077 (Avg Bid)
    Acord de confidenţialitate
    $1077 Oferta medie
    9 oferte

    I'm looking for a developer to create a system for my Zybo Z7 board that c...21st Key Requirements: - Object Detection: The system should be able to detect people accurately. - Real-time Video Streaming: The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multi...

    $60 (Avg Bid)
    $60 Oferta medie
    2 oferte

    I am looking for a python programmer who can develop python code to interface with some hardware data acqusition and basic signal processing. Phidgets Device: Basic Functionality: 1. GUI for User 2. Be able to read raw data from 1-4 channels from bridge sensor 3. Be able to set ADC scale, data sampling rate 4. Perform auto zero (take X readings, average it, and subtract it from the values) 5. Calibrate sensor (we apply 3 points of known excitation to sensor, and scale reading to that using linear or polynomial fit) 6. Save raw and scaled data to CSV files You must be able to debug software with the hardware remotely via Team Viewer or remote desktop.

    $178 (Avg Bid)
    $178 Oferta medie
    59 oferte

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both...

    $157 (Avg Bid)
    $157 Oferta medie
    13 oferte

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both...

    $88 (Avg Bid)
    Urgent
    $88 Oferta medie
    8 oferte

    We require microcontroller programming services to execute sensor interfacing and manage communication protocols. Our project needs an expert who can: - Interface our 32 bit PIC microcontroller with temperature sensors, analog circuits like Op-Amp and ADC - Implement SPI communication protocol within the microcontroller Experience with PIC microcontrollers is a must, along with a sound understanding of sensors, analog-to-digital conversion (ADC), and SPI protocol. Proven experience in similar tasks will make you an ideal candidate for us.

    $307 (Avg Bid)
    $307 Oferta medie
    14 oferte

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    $44 (Avg Bid)
    $44 Oferta medie
    5 oferte

    ...who can create a seamless application that interacts with Arduino via Bluetooth. Your responsibility will be to ensure the app gets specific sensor data, in this case, Analog-to-Digital Conversion (ADC) values in the range of 0-1023, via its Bluetooth connection. Skills required: - Proficiency in TensorFlow Lite for running the model's results - Experience in handling Arduino systems - Strong skills in app development, particularly Bluetooth communication capabilities The primary functionality of this app will be to run the TensorFlow Lite model and display its results based on the received ADC values. I am particularly interested in individuals who have a solid understanding of handling sensor data from Bluetooth and running TensorFlow Lite model. The project'...

    $40 (Avg Bid)
    $40 Oferta medie
    4 oferte

    As someone who is keen on enhancing the efficiency of our digital design processes, I am looking for ...Developing SAT solver and DPLL algorithm. 1. C++ programming: The engineer will be required to focus mainly on enhancing and implementing algorithms. 2. Digital circuit design: Basic understanding and experience in digital circuit design is essential. Ideal Profile: - Strong C++ programming skills - Experience in implementing algorithms using C++- Digital Design Experience While the experience in FPGA programming and knowledge on HDL are beneficial, the prime focus will be on C++ software development and digital design. Hence, I'm primarily seeking a candidate who excels in the field of programming over circuit design. Nonetheless, an individual who combines both will be t...

    $15 / hr (Avg Bid)
    $15 / hr Oferta medie
    16 oferte